-----BEGIN PGP SIGNED MESSAGE----- Hash: SHA512 Format: 3.0 (quilt) Source: eztrace-contrib Binary: libeztrace0-contrib Architecture: any Version: 1.1-7-5build1 Maintainer: Samuel Thibault Homepage: http://eztrace.gforge.inria.fr/ Standards-Version: 4.1.1 Vcs-Browser: https://anonscm.debian.org/git/collab-maint/eztrace.git Vcs-Git: https://anonscm.debian.org/git/collab-maint/eztrace.git Build-Depends: debhelper (>= 9~), dh-autoreconf, pkg-config, gfortran, libiberty-dev, binutils-dev (>= 2.29~), zlib1g-dev, nvidia-cuda-toolkit (>= 9~), gcc-6, g++-6, libcupti-dev, python, mesa-common-dev, fxt-tools, libgtg-dev, libpomp2-dev, liblitl-dev (>= 0.1.9~), litl-tools, libstarpu-dev, libpapi-dev [amd64 arm64 armel armhf i386 mips mipsel powerpc ppc64el mips64el ppc64 x32], libmpich-dev, mpi-default-dev, mpi-default-bin Build-Conflicts: libeztrace0 Package-List: libeztrace0-contrib deb contrib/libs optional arch=any Checksums-Sha1: 1560c55db41fba879535986c27534d6d797598a7 4729007 eztrace-contrib_1.1-7.orig.tar.gz c4eead555b7a7b110794a312b0617b83d611baa4 10328 eztrace-contrib_1.1-7-5build1.debian.tar.xz Checksums-Sha256: 17157a4121834ccfbc0119cac19eb04a06056f1e5fb7529eda9292dd1c692233 4729007 eztrace-contrib_1.1-7.orig.tar.gz abaf7f89e8b0892833655e81741af4d595ca5d391af03d65385ed2a1fc4b2dc5 10328 eztrace-contrib_1.1-7-5build1.debian.tar.xz Files: f512d9baed2140aa1eb56b5218331394 4729007 eztrace-contrib_1.1-7.orig.tar.gz b47dd01eaa26b54525727856699c16d5 10328 eztrace-contrib_1.1-7-5build1.debian.tar.xz -----BEGIN PGP SIGNATURE----- iQJGBAEBCgAwFiEEJeP/LX9Gnb59DU5Qr8/sjmac4cIFAlqd0FQSHGdpbmdnc0B1 YnVudHUuY29tAAoJEK/P7I5mnOHCW7AP/RtTrsCgldV1cpzLQddWGK1GDj7PIorK 2FYzisCdedwbSLpf0S4FYPpYvQb687EMC/2EE0pBOjjBpcfFpbid/cwU3ggsETGj iaBRv5n3WPYyAgDeUyDE38Pf4+6p4z5hx7INeWiqy2o7fl0xat80ak9z/xy1kqop g3F2v7QJqnkzbzvJcFzjomr5PrI9TO2ArFA/E/6kcItrYQLPk6xNU/k7zZZjK0eO oYeNJe6srujAsN9hVovSjdzcNCLlP4fQlrCZcGGgFHkVAyaEO+tdC1jc8aO07wZ+ SUyDttPz4z63qLrvJtC/OVZEYvoeMS+emgbgMOze8taGGR2yft4CAaxS4nRHKt0J Msx1m4SNfqvGpOgkXVPO1Duv2yuy546alBWtTPC0hEuAb9qb/e1rSkyF+dygdhnv jEWLpMNDwNafSQzOS+lvK2TL5i5WwQ9X0Wjut2frcEdiyLDDMyO9QLfC2+Waiiy1 CK7WQPgRW1aZ9Wr9t8xYarZHZXefvnN9PJkbPiEuEV1aLV7vk/IpWmLZ0EaSTBph 3UcZ4op0cWC6Cve9lnBl4IEdyvton9jy9S5KmyA8cRlbn8cBFefkNrBOb5oQEzE9 aAYtYzQfZ/P4NYz/HjQKAapioDv5b6UmLpcejXHkIkQp5IseNtq8Jxs9E0OTmLL9 1Q8cvwYfiMSn =IGzw -----END PGP SIGNATURE-----